Signoff (electronic design automation)

In the automated design of integrated circuits, signoff (also written as sign-off) checks is the collective name given to a series of verification steps that must pass before the design can be taped out. This implies an iterative process involving incremental fixes across the board in one or more check type and retesting the design. There are two types of sign-off's are there,namely Front-end sign-off and Back-end sign-off. After back-end sign-off the chip will go to Fabrication. After listing out all the features of specification, Verification Engineer will write coverage for those features and finds out bugs and sends back the RTL design to the designer.Bugs means missing of features,errors in design(typo and functional errors)etc.,.When the coverage reaches a maximum% then Verification team will sign it off. Basically by using a methodology like UVM,OVM or VMM, the verification team will develop a reusable environment. Nowadays UVM is getting more popular than others.

Check types

Signoff checks have become more complex as VLSI designs approach 22nm and below process nodes because of the increased impact of previously ignored (or more crudely approximated) second order effects. There are several categories of signoff checks.

Tools

A small subset of tools are classified as "golden" or signoff-quality. Categorizing a tool as signoff-quality without vendor-bias is a matter of trial and error, since the accuracy of the tool can only be determined after the design has been fabricated. So, one of the metrics that is in use (and often touted by the tool manufacturer/vendor) is the number of successful tapeouts enabled by the tool in question. It has been argued that this metric is insufficient, ill-defined, and irrelevant for certain tools, especially tools that play only a part in the full flow.[1]

While vendors often embellish the ease of end-to-end (typically RTL to GDS for ASICs, and RTL to timing closure for FPGAs) execution through their respective tool suite, most semiconductor design companies use a combination of tools from various vendors (often called "best of breed" tools) in order to minimize correlation errors pre- and post-silicon.[2] Since independent tool evaluation is expensive (single licenses for design tools from major vendors like Synopsys and Cadence may cost tens or hundreds of thousands of dollars) and a risky proposition (if the failed evaluation is done on a production design, resulting in a time to market delay), it is feasible only for the largest design companies (like Intel, IBM, Freescale, and TI). As a value add, several semiconductor foundries now provide pre-evaluated reference/recommended methodologies (sometimes referred to as "RM" flows) which includes a list of recommended tools, versions, and scripts to move data from one tool to another and automate the entire process.[3]

This list of vendors and tools is meant to be representative and is not exhaustive:

References

This article is issued from Wikipedia - version of the 12/2/2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.