Itanium

For more details on the technical architecture, not chip implementations, see IA-64.
Itanium family

Itanium 2 processor
Produced From mid-2001 to present
Common manufacturer(s)
  • Intel
Max. CPU clock rate 733 MHz to 2.53 GHz
FSB speeds 300 MHz to 667 MHz
Instruction set Itanium
Cores 1, 2, 4 or 8

Itanium (/ˈtniəm/ eye-TAY-nee-əm) is a family of 64-bit Intel microprocessors that implement the Intel Itanium architecture (formerly called IA-64). Intel markets the processors for enterprise servers and high-performance computing systems. The Itanium architecture originated at Hewlett-Packard (HP), and was later jointly developed by HP and Intel.

Itanium-based systems have been produced by HP (the HP Integrity Servers line) and several other manufacturers. As of 2008, Itanium was the fourth-most deployed microprocessor architecture for enterprise-class systems, behind x86-64, Power Architecture, and SPARC.[1] The Poulson processor was released on November 8, 2012. While Intel said in April 2015 that it continued to work on Poulson's successor Kittson, Hewlett-Packard was the only remaining volume customer and even HP has since introduced Xeon-based machines. It appears that Kittson, announced for mid-2017, will be the last Itanium released, with a modest performance increase over Poulson.[2] As of February 2016, Poulson was the most recent processor available.[3]

History

Itanium Server Sales forecast history[4][5]

Development: 1989–2000

In 1989, HP determined that Reduced Instruction Set Computing (RISC) architectures were approaching a processing limit at one instruction per cycle. HP researchers investigated a new architecture, later named Explicitly Parallel Instruction Computing (EPIC), that allows the processor to execute multiple instructions in each clock cycle. EPIC implements a form of very long instruction word (VLIW) architecture, in which a single instruction word contains multiple instructions. With EPIC, the compiler determines in advance which instructions can be executed at the same time, so the microprocessor simply executes the instructions and does not need elaborate mechanisms to determine which instructions to execute in parallel.[6] The goal of this approach is twofold: to enable deeper inspection of the code at compile time to identify additional opportunities for parallel execution, and to simplify processor design and reduce energy consumption by eliminating the need for runtime scheduling circuitry.

HP believed that it was no longer cost-effective for individual enterprise systems companies such as itself to develop proprietary microprocessors, so it partnered with Intel in 1994 to develop the IA-64 architecture, derived from EPIC. Intel was willing to undertake a very large development effort on IA-64 in the expectation that the resulting microprocessor would be used by the majority of enterprise systems manufacturers. HP and Intel initiated a large joint development effort with a goal of delivering the first product, Merced, in 1998.[6]

During development, Intel, HP, and industry analysts predicted that IA-64 would dominate in servers, workstations, and high-end desktops, and eventually supplant RISC and complex instruction set computing (CISC) architectures for all general-purpose applications.[7][8] Compaq and Silicon Graphics decided to abandon further development of the Alpha and MIPS architectures respectively in favor of migrating to IA-64.[9]

Several groups developed operating systems for the architecture, including Microsoft Windows, OpenVMS, Linux, and UNIX variants such as HP-UX, Solaris,[10][11][12] Tru64 UNIX,[9] and Monterey/64[13] (the last three were canceled before reaching the market). By 1997, it was apparent that the IA-64 architecture and the compiler were much more difficult to implement than originally thought, and the delivery of Merced began slipping.[14] Technical difficulties included the very high transistor counts needed to support the wide instruction words and the large caches. There were also structural problems within the project, as the two parts of the joint team used different methodologies and had slightly different priorities. Since Merced was the first EPIC processor, the development effort encountered more unanticipated problems than the team was accustomed to. In addition, the EPIC concept depends on compiler capabilities that had never been implemented before, so more research was needed.

Intel announced the official name of the processor, Itanium, on October 4, 1999.[15] Within hours, the name Itanic had been coined on a Usenet newsgroup, a reference to Titanic, the "unsinkable" ocean liner that sank in 1912.[16] "Itanic" has since often been used by The Register,[17] and others,[18][19][20] to imply that the multibillion-dollar investment in Itanium—and the early hype associated with it—would be followed by its relatively quick demise.

Itanium (Merced): 2001

Itanium (Merced)

Itanium processor
Produced From June 2001 to June 2002
Common manufacturer(s)
  • Intel
Max. CPU clock rate 733 MHz to 800 MHz
FSB speeds 266 MT/s
Instruction set Itanium
Cores 1
L2 cache 96 KB
L3 cache 2 or 4 MB
Socket(s)
  • PAC418
Core name(s)
  • Merced

By the time Itanium was released in June 2001, its performance was not superior to competing RISC and CISC processors.[21] Itanium competed at the low-end (primarily four-CPU and smaller systems) with servers based on x86 processors, and at the high end with IBM's POWER architecture and Sun Microsystems' SPARC architecture. Intel repositioned Itanium to focus on high-end business and HPC computing, attempting to duplicate x86's successful "horizontal" market (i.e., single architecture, multiple systems vendors). The success of this initial processor version was limited to replacing PA-RISC in HP systems, Alpha in Compaq systems and MIPS in SGI systems, though IBM also delivered a supercomputer based on this processor.[22] POWER and SPARC remained strong, while the 32-bit x86 architecture continued to grow into the enterprise space, building on economies of scale fueled by its enormous installed base.

Only a few thousand systems using the original Merced Itanium processor were sold, due to relatively poor performance, high cost and limited software availability.[23] Recognizing that the lack of software could be a serious problem for the future, Intel made thousands of these early systems available to independent software vendors (ISVs) to stimulate development. HP and Intel brought the next-generation Itanium 2 processor to market a year later.

Itanium 2: 2002–2010

Itanium 2 (McKinley)

Itanium 2 processor
Produced From 2002 to 2010
Designed by Intel
Common manufacturer(s)
  • Intel
Max. CPU clock rate 900 MHz to 2.53 GHz
Instruction set Itanium
Cores 1, 2, 4 or 8
L2 cache 256 KB on Itanium2
256 KB (D) + 1 MB(I) or 512 KB (I) on (Itanium2 9x00 series)
L3 cache 1.5-32 MB
Socket(s)
Core name(s)
  • McKinley
  • Madison
  • Hondo
  • Deerfield
  • Montecito
  • Montvale
  • Tukwila
  • Poulson
Intel Itanium 2 9000 (heat-sink cap removed)

The Itanium 2 processor was released in 2002, and was marketed for enterprise servers rather than for the whole gamut of high-end computing. The first Itanium 2, code-named McKinley, was jointly developed by HP and Intel. It relieved many of the performance problems of the original Itanium processor, which were mostly caused by an inefficient memory subsystem. McKinley contained 221 million transistors (of which 25 million were for logic), measured 19.5 mm by 21.6 mm (421 mm2) and was fabricated in a 180 nm, bulk CMOS process with six layers of aluminium metallization.[24]

In 2003, AMD released the Opteron, which implemented its own 64-bit architecture (AMD64). Opteron gained rapid acceptance in the enterprise server space because it provided an easy upgrade from x86. Intel responded by implementing x86-64 in its Xeon microprocessors in 2004.[9]

Intel released a new Itanium 2 family member, codenamed Madison, in 2003. Madison used a 130 nm process and was the basis of all new Itanium processors until Montecito was released in June 2006.

In March 2005, Intel announced that it was working on a new Itanium processor, codenamed Tukwila, to be released in 2007. Tukwila would have four processor cores and would replace the Itanium bus with a new Common System Interface, which would also be used by a new Xeon processor.[25] Later that year, Intel revised Tukwila's delivery date to late 2008.[26]

In November 2005, the major Itanium server manufacturers joined with Intel and a number of software vendors to form the Itanium Solutions Alliance to promote the architecture and accelerate software porting.[27] The Alliance announced that its members would invest $10 billion in Itanium solutions by the end of the decade.[28]

In 2006, Intel delivered Montecito (marketed as the Itanium 2 9000 series), a dual-core processor that roughly doubled performance and decreased energy consumption by about 20 percent.[29]

Intel released the Itanium 2 9100 series, codenamed Montvale, in November 2007.[30] In May 2009, the schedule for Tukwila, its follow-on, was revised again, with release to OEMs planned for the first quarter of 2010.[31]

Itanium 9300 (Tukwila): 2010

Intel Itanium 9300 CPU
Intel Itanium 9300 CPU LGA
Intel Itanium 9300 Socket Intel LGA 1248
Intel Itanium 9300 with cap removed
Main article: Tukwila (processor)

The Itanium 9300 series processor, codenamed Tukwila, was released on February 8, 2010, with greater performance and memory capacity.[32]

The device uses a 65 nm process, includes two to four cores, up to 24 MB on-die caches, Hyper-Threading technology and integrated memory controllers. It implements double-device data correction, which helps to fix memory errors. Tukwila also implements Intel QuickPath Interconnect (QPI) to replace the Itanium bus-based architecture. It has a peak interprocessor bandwidth of 96 GB/s and a peak memory bandwidth of 34 GB/s. With QuickPath, the processor has integrated memory controllers and interfaces the memory directly, using QPI interfaces to directly connect to other processors and I/O hubs. QuickPath is also used on Intel processors using the Nehalem microarchitecture, making it probable that Tukwila and Nehalem will be able to use the same chipsets.[33] Tukwila incorporates four memory controllers, each of which supports multiple DDR3 DIMMs via a separate memory controller,[34] much like the Nehalem-based Xeon processor code-named Beckton.[35]

Itanium 9500 (Poulson): 2012

The Itanium 9500 series processor, codenamed Poulson, is the follow-on processor to Tukwila and was released on November 8, 2012.[36] According to Intel, it skips the 45 nm process technology and uses a 32 nm process technology; it features eight cores, has a 12-wide issue architecture, multithreading enhancements, and new instructions to take advantage of parallelism, especially in virtualization.[33][37][38] The Poulson L3 cache size is 32 MB. L2 cache size is 6 MB, 512 I KB, 256 D KB per core.[39] Die size is 544 mm², less than its predecessor Tukwila (698.75 mm²).[40][41]

At ISSCC 2011, Intel presented a paper called, "A 32nm 3.1 Billion Transistor 12-Wide-Issue Itanium Processor for Mission Critical Servers."[39][42] Given Intel's history of disclosing details about Itanium microprocessors at ISSCC, this paper most likely refers to Poulson. Analyst David Kanter speculates that Poulson will use a new microarchitecture, with a more advanced form of multi-threading that uses as many as two threads, to improve performance for single threaded and multi-threaded workloads.[43] Some new information was released at Hot Chips conference.[44][45]

New information presents improvements in multithreading, resilency improvements (Intel Instruction Replay RAS) and few new instructions (thread priority, integer instruction, cache prefetching, data access hints).

In Intel's Product Change Notification (PCN) 111456-01, it listed four models of Itanium 9500 series CPU, which was later removed in a revised document.[46] The parts were later listed in Intel's Material Declaration Data Sheets (MDDS) database.[47] Intel later posted Itanium 9500 reference manual.[48]

The models are:[46]

Processor numberFrequency
95201.73 GHz
95402.13 GHz
95502.4 GHz
95602.53 GHz

Kittson

Rumours of a successor to Poulson coded-name Kittson began to circulate in 2012–2013, at first associated with a forthcoming 22 nm shrink, later walked-back in the face of declining Itanium sales to a less-ambitious 32 nm node. Intel has never confirmed the formal specifications for Kittson, but has confirmed that it continues to work on the project as recently as April 2015.[2] Meanwhile, the aggressively multicore Xeon E7 platform has begun to displace Itanium-based solutions in the Intel roadmap.[49] On 2016-07-21, Hewlett Packard Enterprise (HPE) (a split of from HP) announced in Computer World that Kittson would be released mid-2017.[50]

Market share

In comparison with its Xeon family of server processors, Itanium has never been a high-volume product for Intel. Intel does not release production numbers. One industry analyst estimated that the production rate was 200,000 processors per year in 2007.[51]

According to Gartner Inc., the total number of Itanium servers (not processors) sold by all vendors in 2007, was about 55,000. (It is unclear whether clustered servers counted as a single server or not.) This compares with 417,000 RISC servers (spread across all RISC vendors) and 8.4 million x86 servers. IDC reports that a total of 184,000 Itanium-based systems were sold from 2001 through 2007. For the combined POWER/SPARC/Itanium systems market, IDC reports that POWER captured 42% of revenue and SPARC captured 32%, while Itanium-based system revenue reached 26% in the second quarter of 2008.[52] According to an IDC analyst, in 2007, HP accounted for perhaps 80% of Itanium systems revenue.[53] According to Gartner, in 2008, HP accounted for 95% of Itanium sales.[54] HP's Itanium system sales were at an annual rate of $4.4Bn at the end of 2008, and declined to $3.5Bn by the end of 2009,[55] compared to a 35% decline in UNIX system revenue for Sun and an 11% drop for IBM, with an x86-64 server revenue increase of 14% during this period.

In December 2012, IDC released a research report stating that Itanium server shipments would remain flat through 2016, with annual shipment of 26,000 systems (a decline of over 50% compared to shipments in 2008).[56]

Hardware support

Systems

Server manufacturers' Itanium products
Company Latest product
name from to name CPUs
Compaq 2001 2001 ProLiant 590 1–4
IBM 2001 2005 x455 1–16
Dell 2001 2005 PowerEdge 7250 1–4
Hitachi 2001 2008 BladeSymphony
1000
1–8
Unisys 2002 2009 ES7000/one 1–32
SGI 2001 2011 Altix 4000 1–2048
Fujitsu 2005 2011 PRIMEQUEST 1–32
HP 2001 present Integrity 1–256
Bull 2002 pre-2015 NovaScale 9410 1–32
NEC 2002 2012 nx7700i 1–256
Inspur 2010 pre-2015 TS10000 2–1024
Huawei 2012 pre-2015 ???? ????

By 2006, HP manufactured at least 80% of all Itanium systems, and sold 7,200 in the first quarter of 2006.[57] The bulk of systems sold were enterprise servers and machines for large-scale technical computing, with an average selling price per system in excess of US$200,000. A typical system uses eight or more Itanium processors.

By 2012, only a few manufacturers offered Itanium systems, including HP, Bull, NEC, Inspur and Huawei. In addition, Intel offered a chassis that could be used by system integrators to build Itanium systems.[58]

By 2015, only HP supplied Itanium-based systems.[2]

Chipsets

The Itanium bus interfaces to the rest of the system via a chipset. Enterprise server manufacturers differentiate their systems by designing and developing chipsets that interface the processor to memory, interconnections, and peripheral controllers. The chipset is the heart of the system-level architecture for each system design. Development of a chipset costs tens of millions of dollars and represents a major commitment to the use of the Itanium. IBM created a chipset in 2003, and Intel in 2002, but neither of them developed chipsets to support newer technologies such as DDR2 or PCI Express.[59] Currently chipsets for Itanium supporting such technologies are manufactured by HP, Fujitsu, SGI, NEC, and Hitachi.

The "Tukwila" Itanium processor model had been designed to share a common chipset with the Intel Xeon processor EX (Intel's Xeon processor designed for four processor and larger servers). The goal was to streamline system development and reduce costs for server OEMs, many of which develop both Itanium- and Xeon-based servers. However, in 2013, this goal was pushed back to "evaluated for future implementation opportunities".[60]

Software support

Itanium is or was supported by the following operating systems:

Microsoft announced that Windows Server 2008 R2 would be the last version of Windows Server to support the Itanium, and that it would also discontinue development of the Itanium versions of Visual Studio and SQL Server.[71] Likewise, Red Hat Enterprise Linux 5 (first released in March 2007) was the last Itanium edition of Red Hat Enterprise Linux[72] and Canonical's Ubuntu 10.04 LTS (released in April 2010, now discontinued) was the last supported Ubuntu release on Itanium.[73] HP will not be supporting or certifying Linux on Itanium 9300 (Tukwila) servers.[74]

In late September 2012, NEC announced a return from IA-64 to the previous NOAH line of proprietary mainframe processors, now produced in a quad-core variant on 40 nm, called NOAH-6.[75]

HP sells a virtualization technology for Itanium called Integrity Virtual Machines.

To allow more software to run on the Itanium, Intel supported the development of compilers optimized for the platform, especially its own suite of compilers.[76][77] Starting in November 2010, with the introduction of new product suites, the Intel Itanium Compilers were no longer bundled with the Intel x86 compilers in a single product. Intel offers Itanium tools and Intel x86 tools, including compilers, independently in different product bundles. GCC,[78][79] Open64 and Microsoft Visual Studio 2005 (and later)[80] are also able to produce machine code for Itanium. According to the Itanium Solutions Alliance over 13,000 applications were available for Itanium-based systems in early 2008,[81] though Sun has contested Itanium application counts in the past.[82] The ISA also supported Gelato, an Itanium HPC user group and developer community that ported and supported open source software for Itanium.[83]

Emulation

Emulation is a technique that allows a computer to execute binary code that was compiled for a different type of computer. Before IBM's acquisition of QuickTransit in 2009, application binary software for IRIX/MIPS and Solaris/SPARC could run via type of emulation called "dynamic binary translation" on Linux/Itanium. Similarly, HP implemented a method to execute PA-RISC/HP-UX on the Itanium/HP-UX via emulation, to simplify migration of its PA-RISC customers to the radically different Itanium instruction set. Itanium processors can also run the mainframe environment GCOS from Groupe Bull and several x86 operating systems via instruction set simulators.

Competition

Itanium is aimed at the enterprise server and high-performance computing (HPC) markets. Other enterprise- and HPC-focused processor lines include Oracle's and Fujitsu's SPARC processors and IBM's POWER microprocessors. Measured by quantity sold, Itanium's most serious competition comes from x86-64 processors including Intel's own Xeon line and AMD's Opteron line. Since 2009, most servers were being shipped with x86-64 processors.[55]

In 2005, Itanium systems accounted for about 14% of HPC systems revenue, but the percentage has declined as the industry shifted to x86-64 clusters for this application.[84]

An October 2008 Gartner report on the Tukwila processor, stated that "...the future roadmap for Itanium looks as strong as that of any RISC peer like Power or SPARC."[85]

Supercomputers and high-performance computing

Area chart showing the representation of different families of microprocessors in the TOP500 ranking list of supercomputer, from 1993 to 2015

An Itanium-based computer first appeared on the list of the TOP500 supercomputers in November 2001.[22] The best position ever achieved by an Itanium 2 based system in the list was #2 (while now all systems have dropped off the list), achieved in June 2004, when Thunder (LLNL) entered the list with an Rmax of 19.94 Teraflops. In November 2004, Columbia entered the list at #2 with 51.8 Teraflops, and there was at least one Itanium-based computer in the top 10 from then until June 2007. The peak number of Itanium-based machines on the list occurred in the November 2004 list, at 84 systems (16.8%); by June 2012, this had dropped to one system (0.2%),[86] and no Itanium system remained on the list in November 2012.

Processors

Released processors

The Itanium processors show a progression in capability. Merced was a proof of concept. McKinley dramatically improved the memory hierarchy and allowed Itanium to become reasonably competitive. Madison, with the shift to a 130 nm process, allowed for enough cache space to overcome the major performance bottlenecks. Montecito, with a 90 nm process, allowed for a dual-core implementation and a major improvement in performance per watt. Montvale added three new features: core-level lockstep, demand-based switching and front-side bus frequency of up to 667 MHz.

Codename process Released Clock L2 Cache/
core
L3 Cache/
processor
Bus dies/
device
cores/
die
watts/
device
Comments
Itanium
Merced 180 nm 2001-06 733 MHz 96 KB none 266 MHz 1 1 116 2 MB off-die L3 cache
800 MHz 130 4 MB off-die L3 cache
Itanium 2
McKinley 180 nm 2002-07-08 900 MHz 256 KB 1.5 MB 400 MHz 1 1 130 HW branchlong
1 GHz 3 MB 130
Madison 130 nm 2003-06-30 1.3 GHz 3 MB 130
1.4 GHz 4 MB 130
1.5 GHz 6 MB 130
2003-09-08 1.4 GHz 1.5 MB 130
2004-04 1.4 GHz 3 MB 130
1.6 GHz
Deerfield 2003-09-08 1.0 GHz 1.5 MB 62 Low voltage
Hondo[87] 2004-Q1 1.1 GHz 4 MB 400 MHz 2 1 260 32 MB L4
Fanwood 2004-11-08 1.6 GHz 3 MB 533 MHz 1 1 130
1.3 GHz 400 MHz 62? Low voltage
Madison 2004-11-08 1.6 GHz 9 MB 400 MHz 130
2005-07-05 1.67 GHz 6 MB 667 MHz 130
2005-07-18 1.67 GHz 9 MB 667 MHz 130
Itanium 2 9000 series
Montecito 90 nm 2006-07-18 1.4 GHz 256 KB (D)+
1 MB (I)
6–24 MB 400 MHz 1 2 104 Virtualization, Multithread, no HW IA-32
1.6 GHz 533 MHz
Itanium 2 9100 series
Montvale 90 nm 2007-10-31 1.42–1.66 GHz 256 KB (D)+
1 MB (I)
8–24 MB 400–667 MHz 1 1–2 75–104 Core-level lockstep, demand-based switching
Itanium 9300 series
Tukwila 65 nm 2010-02-08 1.33–1.73 GHz 256 KB (D)+
512 KB (I)
10–24 MB QPI with a speed of 4.8 GT/s 1 2–4 130–185 A new point-to-point processor interconnect, the QPI, replacing the FSB. Turbo Boost
Itanium 9500 series
Poulson 32 nm 2012-11-08[88] 1.73–2.53 GHz 256 KB (D)+
512 KB (I)
20–32 MB QPI with a speed of 6.4 GT/s 1 4–8 130–170 Doubled issue width (from 6 to 12 instructions per cycle), Instruction Replay technology, Dual-domain hyperthreading[89][90][91]
Codename process Released Clock L2 Cache/
core
L3 Cache/
processor
Bus dies/
device
cores/
die
watts/
device
Comments

Future processors

During the HP vs. Oracle support lawsuit, court documents unsealed by Santa Clara County Court judge revealed in 2008, Hewlett-Packard had paid Intel Corp. around $440 million to keep producing and updating Itanium microprocessors from 2009 to 2014. In 2010, the two companies signed another $250 million deal, which obliged Intel to continue making Itanium central processing units for HP's machines until 2017. Under the terms of the agreements, HP has to pay for chips it gets from Intel, while Intel launches Tukwila, Poulson, Kittson and Kittson+ chips in a bid to gradually boost performance of the platform.[92][93]

Kittson

Kittson is planned to follow Poulson.[94] Kittson, like Poulson, will be manufactured using Intel's 32 nm process. Few other details are known beyond the existence of the codename and the binary and socket compatibility with Poulson and Tukwila, though moving to a common socket with x86 Xeon "will be evaluated for future implementation opportunities" after Kittson.[95]

Market reception

High-end server market

HP zx6000 system board with dual Itanium 2 processors
Itanium 2 in 2003

When first released in 2001, Itanium's performance was disappointing compared to better-established RISC and CISC processors.[7][8] Emulation to run existing x86 applications and operating systems was particularly poor, with one benchmark in 2001 reporting that it was equivalent at best to a 100 MHz Pentium in this mode (1.1 GHz Pentiums were on the market at that time).[96] Itanium failed to make significant inroads against IA-32 or RISC, and suffered further following the arrival of x86-64 systems which offered greater compatibility with older x86 applications.

In a 2009 article on the history of the processor — "How the Itanium Killed the Computer Industry" — journalist John C. Dvorak reported "This continues to be one of the great fiascos of the last 50 years" .[97] Tech columnist Ashlee Vance commented that the delays and underperformance "turned the product into a joke in the chip industry."[54] In an interview, Donald Knuth said "The Itanium approach...was supposed to be so terrific—until it turned out that the wished-for compilers were basically impossible to write."[98]

Both Red Hat and Microsoft announced plans to drop Itanium support in their operating systems due to lack of market interest;[71][72] however, other Linux distributions such as Gentoo and Debian remain available for Itanium. On March 22, 2011, Oracle Corporation announced that it would no longer develop new products for HP-UX on Itanium, although it would continue to provide support for existing products.[99] Following this announcement, HP sued Oracle for breach of contract, arguing that Oracle had violated conditions imposed during settlement over Oracle's hiring of former HP CEO Mark Hurd as its co-CEO, requiring the vendor to support Itanium on its software "until such time as HP discontinues the sales of its Itanium-based servers",[100] and that the breach had harmed its business. In 2012, a court ruled in favor of HP, and ordered Oracle to resume its support for Itanium. In June 2016, Hewlett-Packard Enterprise (the corporate successor to HP's server business) was awarded $3 billion in damages from the lawsuit.[101][102]

A former Intel official reported that the Itanium business had become profitable for Intel in late 2009.[103] By 2009, the chip was almost entirely deployed on servers made by HP, which had over 95% of the Itanium server market share,[54] making the main operating system for Itanium HP-UX. On March 22, 2011, Intel reaffirmed its commitment to Itanium with multiple generations of chips in development and on schedule.[104]

Other markets

HP zx6000, an Itanium 2-based Unix workstation

Although Itanium did attain limited success in the niche market of high-end computing, Intel had originally hoped it would find broader acceptance as a replacement for the original x86 architecture.[105]

AMD chose a different direction, designing the less radical x86-64, a 64-bit extension to the existing x86 architecture, which Microsoft then supported, forcing Intel to introduce the same extensions in its own x86-based processors.[106] These designs can run existing 32-bit applications at native hardware speed, while offering support for 64-bit memory addressing and other enhancements to new applications.[54] This architecture has now become the predominant 64-bit architecture in the desktop and portable market. Although some Itanium-based workstations were initially introduced by companies such as SGI, they are no longer available.

Timeline

See also

References

  1. Morgan, Timothy (2008-05-27). "The Server Biz Enjoys the X64 Upgrade Cycle in Q1". IT Jungle. Retrieved 2008-10-29.
  2. 1 2 3 Shilov, Anton (17 April 2015). "Intel still committed to make new Itanium processors". kitguru.net. Retrieved 13 January 2016. KitGuru Says: Even though it is highly likely that “Kittson” chips will be released, it does not seem that Intel and HP actually want to invest R&D money in boosting performance of IA-64 chips. As a result, it looks like the best thing "Kittson" will offer will be a 20 per cent performance improvement over current gen offerings.
  3. "Intel® Itanium® Processor (list)". Ark.intel.com. Retrieved 8 February 2016.
  4. 1 2 3 4 5 6 7 8 9 "Mining Itanium". CNet News. 2005-12-07. Retrieved 2007-03-19.
  5. 1 2 Shankland, Stephen (2006-02-14). "Analyst firm offers rosy view of Itanium". CNet News. Retrieved 2007-03-20.
  6. 1 2 3 "Inventing Itanium: How HP Labs Helped Create the Next-Generation Chip Architecture". HP Labs. June 2001. Retrieved 2007-03-23.
  7. 1 2 De Gelas, Johan (2005-11-09). "Itanium–Is there light at the end of the tunnel?". AnandTech. Retrieved 2007-03-23.
  8. 1 2 Takahashi, Dean (2009-05-08). "Exit interview: Retiring Intel chairman Craig Barrett on the industry's unfinished business". VentureBeat. Retrieved 2009-05-17.
  9. 1 2 3 "Itanium: A cautionary tale". Tech News on ZDNet. 2005-12-07. Archived from the original on 2008-02-09. Retrieved 2007-11-01.
  10. 1 2 Vijayan, Jaikumar (1999-07-16). "ComputerWorld: Solaris for IA-64 coming this fall". Linuxtoday. Retrieved 2008-10-16.
  11. 1 2 Wolfe, Alexander (1999-09-02). "Core-logic efforts under way for Merced". EE Times. Retrieved 2016-02-27.
  12. 1 2 "Sun Introduces Solaris Developer Kit for Intel to Speed Development of Applications On Solaris; Award-winning Sun Tools Help ISVs Easily Develop for Solaris on Intel Today". Business Wire. 1998-03-10. Retrieved 2016-06-06. ...developers can quickly develop applications today that will be compatible with and can easily be tuned for Solaris on Merced.
  13. Shankland, Stephen (2002-01-02). "Next-generation chip passes key milestone". CNET News. Retrieved 2007-11-01.
  14. Shankland, Stephen (1999-07-08). "Intel's Merced chip may slip further". CNET News. Retrieved 2008-10-16.
  15. Kanellos, Michael (1999-10-04). "Intel names Merced chip Itanium". CNET News. Retrieved 2007-04-30.
  16. Finstad, Kraig (1999-10-04). "Re:Itanium". USENET group comp.sys.mac.advocacy. Retrieved 2007-03-24.
  17. 1 2 Pete Sherriff (1999-10-28). "AMD vs Intel – our readers write". The Register. Retrieved 2010-04-06.
  18. Berlind, David (2001-11-30). "Interpreting McNealy's lexicon". ZDNet Tech Update. Retrieved 2007-03-19.
  19. Demerjian, Charlie (2006-07-18). "Itanic shell game continues". The Inquirer. Retrieved 2016-02-27.
  20. Morgenson, Gretchen (2003-10-19). "Fawning Analysts Betray Investors". New York Times. Retrieved 2010-10-19.
  21. Linley Gwennap (2001-06-04). "Itanium era dawns". EE Times. Retrieved 2009-02-17.
  22. 1 2 3 "Titan Cluster Itanium 800 MHz". TOP500 web site. Retrieved 2007-05-16.
  23. Michael Kanellos (2001-12-11). "Itanium sales off to a slow start". CNET News. Retrieved 2008-07-20.
  24. Naffzinger, Samuel D. et al. (2002). "The implementation of the Itanium 2 microprocessor". IEEE Journal of Solid-State Circuits, vol. 37, no. 11, pp. 1448–1460.
  25. Merritt, Rick (2005-03-02). "Intel preps HyperTransport competitor for Xeon, Itanium CPUs". EE Times. Retrieved 2008-10-16.
  26. 1 2 Shankland, Stephen (2005-10-24). "Intel pushes back Itanium chips, revamps Xeon". ZDNet News. Archived from the original on 2008-02-09. Retrieved 2007-03-17.
  27. "Itanium Solutions Alliance". ISA web site. Retrieved 2007-05-16.
  28. Scott, Bilepo (2006-01-26). "Computing Leaders Announce Strategy for New Era of Mission Critical Computing". Itanium Solutions Alliance Press Release. Retrieved 2008-10-16.
  29. Niccolai, James (2008-05-20). "'Tukwila' Itanium servers due early next year, Intel says". ComputerWorld. Retrieved 2008-10-16.
  30. Gonsalves, Antone (2007-11-01). "Intel Unveils Seven Itanium Processors". InformationWeek. Retrieved 2007-11-06.
  31. Demerjian, Charlie (2009-05-21). "Tukwila delayed until 2010". The Inquirer. Retrieved 2009-05-21.
  32. 1 2 New Intel Itanium Offers Greater Performance, Memory Capacity, By: Jeffrey Burt, 2010-02-08, eWeek
  33. 1 2 Tan, Aaron (2007-06-15). "Intel updates Itanium line with 'Kittson'". ZDNet Asia. Retrieved 2007-06-15.
  34. Stokes, Jon (2009-02-05). "Intel delays quad Itanium to boost platform memory capacity". ars technica. Retrieved 2009-02-05.
  35. Ng, Jansen (February 10, 2009). "Intel Aims for Efficiency With New Server Roadmap". DailyTech. Retrieved 2009-02-10.
  36. New Intel Itanium Offers Greater Performance, Memory Capacity: Itanium 9300 Series Brings New Features (page 2) eweek.com, 2010-02-08
  37. "Poulson: The Future of Itanium Servers". realworldtech.com. 2011-05-18. Retrieved 2011-05-24.
  38. "Hot Chips Poulson Disclosure Factsheet" (PDF). Intel press release. 2011-08-19. Retrieved 2011-08-19.
  39. 1 2 "A 32nm 3.1 billion transistor 12-wide-issue Itanium® processor for mission-critical servers" (PDF). 2011-02-24. Retrieved 2012-01-23.
  40. "Researchers carve CPU into plastic foil". Eetimes.com. Retrieved 2010-12-19.
  41. "www.engadget.com". www.engadget.com. Retrieved 2012-04-30.
  42. "ISSCC 2011" (PDF). Retrieved 2011-11-20.
  43. Kanter, David (2010-11-17). "Preparing for Tukwila: The Next Generation of Intel's Itanium Processor Family". Real World Tech. Retrieved 2010-11-17.
  44. "Itanium Poulson Update — Greater Parallelism, New Instruction Replay & More: Catch the details from Hotchips!". 2011-08-19. Retrieved 2012-01-23.
  45. "Intel Itanium Hotchips 2011 Overview". Retrieved 2012-01-23.
  46. 1 2 Unreleased Intel Itanium 9500-series CPUs spotted
  47. Spotted 9500-series CPUs confirmed to be "Poulson" Itaniums
  48. Intel publishes Itanium 9500 reference manual
  49. Shah, Agam (19 February 2014). "Intel's new Xeon server chip pushes Itanium closer to death's door". pcworld.com. PC World. Retrieved 13 January 2016.
  50. "HPE's Itanium server refresh should come in mid-2017". computerworld.com. Computerworld, Inc. 21 July 2016. Retrieved 21 July 2016.
  51. Patrizio, Andy (2007-10-12). "Intel Plows Forward With Itanium". InternetNews.com. Retrieved 2007-10-18.
  52. IDC World Wide Server Tracker, Q2'08
  53. Niccolai, James (2008-05-20). "'Tukwila' Itanium servers due early next year, Intel says". Computerworld. Retrieved 2008-05-21.
  54. 1 2 3 4 Vance, Ashlee (2009-02-09). "Ten Years After First Delay, Intel's Itanium Is Still Late". New York Times. Retrieved 2010-04-01.
  55. 1 2 Morgan, Timothy Prickett (2010-02-24). "Gartner report card gives high marks to x64, blades". TheRegister.com. Retrieved 2010-02-25.
  56. Intel shifts gears on Itanium, raising questions about the server chip's future
  57. Vance, Ashlee (2006-06-01). "HP grabs 90% of 'industry standard' Itanic market". The Register. Retrieved 2007-01-28.
  58. "Intel Server System SR9000MK4U Technical Product Specification". Intel web site. January 2007. Retrieved 2007-04-14.
  59. 1 2 Shankland, Stephen (2005-02-28). "Itanium dealt another blow". ZDNet.co.uk. Retrieved 2007-03-24.
  60. "Intel backtracks on 'Kittson' Itanium plans, including shift to 22 nanometers."
  61. "Project:IA-64". The Gentoo/IA-64 Project works to keep Gentoo the most up to date and fastest IA-64 distribution available.
  62. https://access.redhat.com/articles/rhel-limits
  63. Shankland, Stephen (2000-03-16). "TurboLinux unveils system for Intel's Itanium chip". CNet News. Retrieved 2014-06-08.
  64. "[ia64] End of life...". www.freebsd.org. Retrieved 2014-07-07.
  65. "FreeBSD r268351: Remove ia64.". www.freebsd.org. Retrieved 2014-07-07.
  66. https://www.freebsd.org/platforms/
  67. "NetBSD/ia64". NetBSD Foundation. Retrieved 2015-08-20.
  68. "HP started then spiked HP-UX on x86 project". The Register. Retrieved June 4, 2015.
  69. Thibodeau, Patrick. "HP gives OpenVMS new life". ComputerWorld. Retrieved December 21, 2014.
  70. PRODUCT BRIEF Intel® Itanium® Processor 9500 Series
  71. 1 2 Reger, Dan (April 2010). "Windows Server 2008 R2 to Phase Out Itanium". Retrieved 2010-04-03.
  72. 1 2 Timothy Prickett Morgan (2009-12-18). "Red Hat pulls plug on Itanium with RHEL 6". The Register. Retrieved 2009-12-18.
  73. "Canonical discontinues Itanium and SPARC support in Ubuntu". The H. 2010-08-20. Retrieved 2010-08-23.
  74. Hewlett-Packard. "Linux on HP Integrity servers based on the Intel Itanium Processor 9100 series". Retrieved 2010-08-23.
  75. ACOS-4 news
  76. Barker, Matt (2000-11-08). "Intel Announces New Compiler Versions for the Itanium and Pentium 4". Gamasutra (CMP Media Game Group). Archived from the original on 2005-08-19. Retrieved 2007-06-05.
  77. "Intel Compilers". Intel web site. Retrieved 2007-05-16.
  78. "Gelato GCC Wiki". Gelato Federation web site. Retrieved 2007-05-16.
  79. "Documentation at GNU.org". GNU Project web site. Retrieved 2007-05-16.
  80. "Visual C++ Editions". Microsoft. Retrieved 2008-01-05.
  81. Gonsalves, Aantone (2008-05-19). "Computers with Next-Gen Itanium Expected Early Next Year". InformationWeek. Retrieved 2008-10-17.
  82. "Sun Microsystems-Reality Check" (PDF). Sun Microsystems white paper. 2007-01-12. Retrieved 2008-10-16.
  83. "Gelato Developing for Linux on Itanium". Gelato Federation web site. Retrieved 2007-05-16.
  84. Novakovic, Nebojsa (2008-09-25). "Supercomputing now dominated by X86 architecture". The Inquirer. Retrieved 2008-09-27.
  85. Butler, Andrew (2008-10-03). "Preparing for Tukwila: The Next Generation of Intel's Itanium Processor Family". Retrieved 2008-10-21.
  86. "Processor Generation / Itanium 2 Montecito". TOP500 web site. Retrieved 2012-06-19.
  87. HP rides Hondo to super-sized Itanium servers The Register, May 6, 2004
  88. "New Intel Itanium Processor 9500 Delivers Breakthrough Capabilities for Mission-Critical Computing". Intel. Retrieved November 9, 2012.
  89. Shilov, Anton. "Intel Launches Eight-Core Itanium 9500 "Poulson" Mission-Critical Server Processor". X-bit Labs. Retrieved November 9, 2012.
  90. Kanter, David. "Poulson: The Future of Itanium Servers". Real World Tech. Retrieved November 9, 2012.
  91. Undy, Steve. "WHITE PAPER Intel Itanium Processor 9500 Series" (PDF). Intel. Retrieved November 9, 2012.
  92. HP Paid Intel $690 Million to Keep Itanium Alive - Court Findings.
  93. HP Paid Intel $690 Million To Keep Itanium On Life Support
  94. Intel's Itanium to live on as HPE commits to new servers with the chip
  95. 1 2 "Intel Itanium Processors Update". Intel Corporation. 2013-01-31. Retrieved 2013-02-12.
  96. "Benchmarks – Itanic 32bit emulation is 'unusable'. No kidding — slower than a P100". 2001-01-23.
  97. Dvorak, John C. (2009-01-26). "How the Itanium Killed the Computer Industry". PC Mag. Retrieved 2012-04-15.
  98. Knuth, Donald E. (2008-04-25). "Interview with Donald Knuth". InformIT. Retrieved 2010-04-01.
  99. 1 2 "Oracle stops developing software for Intel's Itanium Chips". Pcworld.com. 2011-03-22. Retrieved 2011-04-12.
  100. "HP wins judgment in Itanium suit against Oracle". Ars Technica. Retrieved 1 July 2016.
  101. "HP awarded $3B in damages from Oracle over Itanium database cancelation". Ars Technica. Retrieved 1 July 2016.
  102. "Oracle Loses $3 Million Verdict For Ditching HP Itanium Chip". Bloomberg. Retrieved 1 July 2016.
  103. Demerjian, Charlie (2009-05-21). "A Decade Later, Intel's Itanium Chip Makes a Profit". The Inquirer. Retrieved 2010-04-07.
  104. Darling, Patrick. "Intel Reaffirms Commitment to Itanium". Itanium. Intel. Retrieved 2011-03-23.
  105. Manek Dubash (July 20, 2006). "Will Intel abandon the Itanium?". Techworld. Retrieved 2010-12-19. Once touted by Intel as a replacement for the x86 product line, expectations for Itanium have been throttled well back.
  106. Charlie Demerjian (2003-09-26). "Why Intel's Prescott will use AMD64 extensions". The Inquirer. Retrieved 2009-10-07.
  107. Markoff, John (1994-06-09). "COMPANY NEWS; Intel Forms Chip Pact With Hewlett-Packard". The New York Times. Retrieved 2007-04-26.
  108. "HP, Novell and SCO To Deliver High-Volume UNIX OS With Advanced Network And Enterprise Services" (Press release). Hewlett-Packard Company; Novell; SCO. 1995-09-20. Retrieved 2007-04-25.
  109. Crothers, Brooke (1996-10-23). "Compaq, Intel buddy up". CNET News.com. Retrieved 2007-11-13.
  110. Veitch, Martin (1998-05-20). "Dell will aid Intel with IA-64". ZDNet.co.uk. Retrieved 2008-10-16.
  111. Lisa DiCarlo (1998-05-28). "Intel to delay release of Merced". PCWeek Online. Archived from the original on 2001-02-19. Retrieved 2007-05-14.
  112. "IBM Previews Technology Blueprint For Netfinity Server Line". IBM web site. 1998-09-09. Retrieved 2007-03-19.
  113. Stephen Shankland (2000-07-21). "Sun, Intel part ways on Solaris plans". CNET News.
  114. Kanellos, Michael (2001-11-14). "Itanium flunking Compaq server tests". News.com. Retrieved 2007-11-13.
  115. "Thunder at TOP500". TOP500 web site. Retrieved 2007-05-16.
  116. "Columbia at TOP500". TOP500 web site. Retrieved 2007-05-16.
  117. Morgan, Timothy (2005-07-06). "HP Ramps Up OpenVMS on Integrity Servers". ITJungle.com. Retrieved 2007-03-29.
  118. Shankland, Stephen (2005-02-25). "IBM server design drops Itanium support". CNET News. Retrieved 2007-03-19.
  119. "Result submitted to SPEC on June 13, 2005 by Hitachi". SPEC web site. Retrieved 2007-05-16.
  120. "Itanium Solutions Alliance Formed". Byte and Switch. 2005-09-26. Archived from the original on November 26, 2006. Retrieved 2007-03-24.
  121. Shankland, Stephen (2005-09-15). "Dell shuttering Itanium server business". CNET News. Retrieved 2007-03-19.
  122. Preimesberger, Chris (2006-07-19). "Is 'Montecito' Intel's Second Chance for Itanium?". eWeek. Retrieved 2007-03-23.
  123. "CentOS Product Specifications". Centos.org. Retrieved 2011-04-12.
  124. Ricknäs, Mikael (2009-12-21). "Red Hat to Drop Itanium Support in Enterprise Linux 6". PC World. PCWorld Communications, Inc. Retrieved 2011-03-25.
  125. Niccolai, James (2009-05-08). "Microsoft Ending Support for Itanium". PCWorld. Retrieved 2010-04-05. Windows Server 2008 R2 will be the last version of Windows Server to support the Intel Itanium architecture," [...] "SQL Server 2008 R2 and Visual Studio 2010 are also the last versions to support Itanium.
  126. "Intel C++ Composer XE 2011 for Linux Installation Guide and Release Notes". Retrieved 2011-04-12.
  127. "Intel Reaffirms Commitment to Itanium". Newsroom.intel.com. 2011-03-23. Retrieved 2011-04-12.
  128. McLaughlin, Kevin (2011-03-28). "HP CEO Apotheker Slams Oracle For Quitting Itanium". Crn.com. Retrieved 2011-04-12.
  129. Prickett, Timothy (2011-04-14). "Huawei to forge big red Itanium iron". Theregister.co.uk. Retrieved 2011-11-20.
  130. McMillan, Robert (2012-02-01). "HP Paid Intel $690 Million To Keep Itanium On Life Support". wired.com. Retrieved 2012-02-03.
  131. "SAP Product availability Matrix". SAPPAM web site. Retrieved 2012-06-06.
  132. "Oracle Issues Statement" (Press release). Oracle Corporation. 2012-09-04. Retrieved 2013-03-08.
  133. "HP NonStop server update". Intel Corporation. 2013-11-05. Retrieved 2013-11-05.
  134. "HP's Xeon-based Superdome is another nail in Itanium's coffin". V3.co.uk. 2014-12-05. Retrieved 2014-12-25.

External links

Wikimedia Commons has media related to Itanium.

This article is issued from Wikipedia - version of the 11/29/2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.