XScale

For the model railroad scale, see X scale.

XScale is a microarchitecture for central processing units initially designed by Intel implementing the ARM architecture (version 5) instruction set. XScale comprises several distinct families: IXP, IXC, IOP, PXA and CE (see more below), with some recent models designed as SoCs. Intel sold the PXA family to Marvell Technology Group in June 2006.[1] Marvell then extended the brand to include processors with other microarchitectures, like ARM's Cortex.

The XScale architecture is based on the ARMv5TE ISA without the floating point instructions. XScale uses a seven-stage integer and an eight-stage memory super-pipelined microarchitecture. It is the successor to the Intel StrongARM line of microprocessors and microcontrollers, which Intel acquired from DEC's Digital Semiconductor division as part of a settlement of a lawsuit between the two companies. Intel used the StrongARM to replace its ailing line of outdated RISC processors, the i860 and i960.

All the generations of XScale are 32-bit ARMv5TE processors manufactured with a 0.18 µm or 0.13 µm (as in IXP43x parts) process and have a 32 KB data cache and a 32 KB instruction cache. First and second generation XScale multi-core processors also have a 2 KB mini data cache (claimed it "avoids “thrashing” of the D-Cache for frequently changing data streams"[2]). Products based on the 3rd generation XScale have up to 512 KB unified L2 cache.[3]

Processor families

The XScale core is used in a number of microcontroller families manufactured by Intel and Marvell, notably:

There are also standalone processors: the 80200 and 80219 (targeted primarily at PCI applications).

PXA

PXA210/PXA25x

The PXA210 was Intel's entry-level XScale targeted at mobile phone applications. It was released with the PXA250 in February 2002 and comes clocked at 133 MHz and 200 MHz.

The PXA25x family (code-named Cotulla) consists of the PXA250 and PXA255. The PXA250 was Intel's first generation of XScale processors. There was a choice of three clock speeds: 200 MHz, 300 MHz and 400 MHz. It came out in February 2002. In March 2003, the revision C0 of the PXA250 was renamed to PXA255. The main differences were a doubled internal bus speed (100 MHz to 200 MHz) for faster data transfer, lower core voltage (only 1.3 V at 400 MHz) for lower power consumption and writeback functionality for the data cache, the lack of which had severely impaired performance on the PXA250.

PXA26x

The PXA26x family (code-named Dalhart) consists of the PXA260 and PXA261-PXA263. The PXA260 is a stand-alone processor clocked at the same frequency as the PXA25x, but features a TPBGA package which is about 53% smaller than the PXA25x's PBGA package. The PXA261-PXA263 are the same as the PXA260 but have Intel StrataFlash memory stacked on top of the processor in the same package; 16 MB of 16-bit memory in the PXA261, 32 MB of 16-bit memory in the PXA262 and 32 MB of 32-bit memory in the PXA263. The PXA26x family was released in March 2003.

PXA27x

Intel PXA270 with 624 MHz

The PXA27x family (code-named Bulverde) consists of the PXA270 and PXA271-PXA272 processors. This revision is a huge update to the XScale family of processors. The PXA270 is clocked in four different speeds: 312 MHz, 416 MHz, 520 MHz and 624 MHz and is a stand-alone processor with no packaged memory. The PXA271 can be clocked to 13, 104, 208 MHz or 416 MHz and has 32 MB of 16-bit stacked StrataFlash memory and 32 MB of 16-bit SDRAM in the same package. The PXA272 can be clocked to 312 MHz, 416 MHz or 520 MHz and has 64 MB of 32-bit stacked StrataFlash memory.

Intel also added many new technologies to the PXA27x family such as:

The PXA27x family was released in April 2004. Along with the PXA27x family Intel released the 2700G embedded graphics co-processor.

PXA3xx

Toradex Colibri XScale Monahans PXA290 SODIMM-module (Prototype Of Marvell PXA320 SODIMM-module)

In August 2005 Intel announced the successor to Bulverde, codenamed Monahans.

They demonstrated it showing its capability to play back high definition encoded video on a PDA screen.

The new processor was shown clocked at 1.25 GHz but Intel said it only offered a 25% increase in performance (800 MIPS for the 624 MHz PXA270 processor vs. 1000 MIPS for 1.25 GHz Monahans). An announced successor to the 2700G graphics processor, code named Stanwood, has since been canceled. sd features of Stanwood are integrated into Monahans. For extra graphics capabilities, Intel recommends third-party chips like the NVIDIA GoForce chip family.

In November 2006, Marvell Semiconductor officially introduced the Monahans family as Marvell PXA320, PXA300, and PXA310.[4] PXA320 is currently shipping in high volume, and is scalable up to 806 MHz. PXA300 and PXA310 deliver performance "scalable to 624 MHz", and are software-compatible with PXA320.

PXA90x

The PXA90x was released by Marvell and combines an XScale Core with a GSM/CDMA communication module.[5] The PXA90x is build using a 130 nm process[6]

PXA16x

PXA168 System On Module by tianyeit.com

PXA16x is a processor designed by Marvell, combining the earlier Intel designed PXA SoC components with a new ARMv5TE CPU core named Mohawk or PJ1 from Marvell's Sheeva family instead of using wdc Xscale or ARM design. The CPU core is derived from the Feroceon core used in Marvell's embedded Kirkwood product line, but extended for instruction level compatibility with the XScale IWMMX.

The PXA16x delivers strong performance at a mass market price point for cost sensitive consumer and embedded markets such as digital picture frames, E Readers, multifunction printer user interface (UI) displays, interactive VoIP phones, IP surveillance cameras, and home control gadgets.[7]

PXA930/935

The PXA930 and PXA935 processor series were again built using the Sheeva microarchitecture developed by Marvell but upgraded to ARMv7 instruction set compatibility.[8] This core is a so-called Tri-core architecture[9] codenamed Tavor; Tri-core means it supports the ARMv5TE, ARMv6 and ARMv7 instruction sets.[9][10] This new architecture was a significant leap from the old Xscale architecture. The PXA930 uses 65 nm technology[11] while the PXA935 is build using the 45 nm process.[10]

The PXA930 is used in the BlackBerry Bold 9700.

PXA940

Little is known about the PXA940, although it is known to be ARM Cortex-A8 compliant.[12] It is utilized in the BlackBerry Torch 9800[13][14] and is built using 45 nm technology.

PXA986/PXA988

After XScale and Sheeva, the PXA98x uses the third CPU core design, this time licensed directly from ARM, in form of dual core Cortex A9 application processors[15] utilized by devices like Samsung Galaxy Tab 3 7.0[16]

PXA1088

It is a quad core Cortex A7 application processor with Vivante GPU.[17]

IXC

IXC1100

The IXC1100 processor features clock speeds at 266, 400, and 533 MHz, a 133 MHz bus, 32 KB of instruction cache, 32 KB of data cache, and 2 KB of mini-data cache. It is also designed for low power consumption, using 2.4 W at 533 MHz. The chip comes in the 35 mm PBGA package.

IOP

The IOP line of processors is designed to allow computers and storage devices to transfer data and increase performance by offloading I/O functionality from the main CPU of the device. The IOP3XX processors are based on the XScale architecture and designed to replace the older 80219 sd and i960 family of chips. There are ten different IOP processors currently available: IOP303, IOP310, IOP315, IOP321, IOP331, IOP332, IOP333, IOP341, IOP342 and IOP348. Clock speeds range from 100 MHz to 1.2 GHz. The processors also differ in PCI bus type, PCI bus speed, memory type, maximum memory allowable, and the number of processor cores.

IXP network processor

The XScale core is utilized in the second generation of Intel's IXP network processor line, while the first generation used StrongARM cores. The IXP network processor family ranges from solutions aimed at small/medium office network applications, IXP4XX, to high performance network processors such as the IXP2850, capable of sustaining up to OC-192 line rates. In IXP4XX devices the XScale core is used as both a control and data plane processor, providing both system control and data processing. The task of the XScale in the IXP2XXX devices is typically to provide control plane functionality only, with data processing performed by the microengines, examples of such control plane tasks include routing table updates, microengine control, memory management.

CE

In April 2007, Intel announced an XScale-based processor targeting consumer electronics markets, the Intel CE 2110 (codenamed Olo River).[18]

Applications

XScale microprocessors can be found in products such as the popular RIM BlackBerry handheld, the Dell Axim family of Pocket PCs, most of the Zire, Treo and Tungsten Handheld lines by Palm, later versions of the Sharp Zaurus, the Motorola A780, the Acer n50, the Compaq iPaq 3900 series and many other PDAs. It is used as the main CPU in the Iyonix PC desktop computer running RISC OS, and the NSLU2 (Slug) running a form of Linux. The XScale is also used in devices such as PVPs (Portable Video Players), PMCs (Portable Media Centres), including the Creative Zen Portable Media Player and Amazon Kindle E-Book reader, and industrial embedded systems. At the other end of the market, the XScale IOP33x Storage I/O processors are used in some Intel Xeon-based server platforms.

Sale of PXA processor line

On June 27, 2006, the sale of Intel's XScale PXA mobile processor assets was announced. Intel agreed to sell the XScale PXA business to Marvell Technology Group for an estimated $600 million in cash and the assumption of unspecified liabilities. The move was intended to permit Intel to focus its resources on its core x86 and server businesses. Marvell holds a full Architecture License for ARM, allowing it to design chips to implement the ARM instruction set, not just license a processor core.[19]

The acquisition was completed on November 9, 2006. Intel was expected to continue manufacturing XScale processors until Marvell secures other manufacturing facilities, and would continue manufacturing and selling the IXP and IOP processors, as they were not part of the deal.[20]

The XScale effort at Intel was initiated by the purchase of the StrongARM division from Digital Equipment Corporation in 1998.[21] Intel still holds an ARM license even after the sale of XScale;[21] this license is at the architectural level.[22]

See also

References

  1. "Marvell buys Intel's handheld processor unit for $600 million". EETimes.
  2. "Intel® Microarchitecture XScale" (PDF).
  3. 3rd Generation Intel XScale(R) Microarchitecture Developer's Manual - http://www.intel.com/design/intelxscale/316283.htm
  4. Marvell Introduces Next Generation Application Processors Archived December 16, 2009, at the Wayback Machine.
  5. Marvell Communications Processors product page Archived November 25, 2009, at the Wayback Machine.
  6. Intel XScale PXA900 (Hermon) Application Processor with Modem Datasheet | CPUlist. PDAdb.net (2012-02-25). Retrieved on 2013-08-02.
  7. Marvell ARMADA 100 Processors product page Archived April 16, 2010, at the Wayback Machine.
  8. (Dutch) Google Vertalen. Translate.google.nl. Retrieved on 2013-08-02.
  9. 1 2 Archived June 3, 2010, at the Wayback Machine.
  10. 1 2 Marvell PXA935 (Tavor-P65) Application Processor with Modem Datasheet | CPUlist. PDAdb.net (2012-02-25). Retrieved on 2013-08-02.
  11. Marvell PXA930 (Tavor-MG1) Application Processor with Modem Datasheet | CPUlist. PDAdb.net (2012-02-25). Retrieved on 2013-08-02.
  12. Blackberry Torch 9800 - Teardown : TechInsights. Ubmtechinsights.com (2012-10-25). Retrieved on 2013-08-02.
  13. "Archived copy". Archived from the original on July 17, 2011. Retrieved November 12, 2010.
  14. Fingas, Jon. (2012-08-14) Marvell PXA988, PXA986 chips support 3G for China, the world without reinventing the wheel (or phone). Engadget.com. Retrieved on 2013-08-02.
  15. Samsung Galaxy Tab 3 Runs On A Marvell PXA986 Processor. Ubergizmo. Retrieved on 2013-08-02.
  16. Gorman, Michael. (2013-02-19) Marvell announces PXA1088 quad-core SoC for globetrotting phones and tablets. Engadget.com. Retrieved on 2013-08-02.
  17. "Intel System-On-A-Chip Media Processor Powers New Generation Of Consumer Electronics Devices".
  18. Archived August 2, 2009, at the Wayback Machine.
  19. "Intel ditches mobile phone processors". Archived from the original on 2012-09-08.
  20. 1 2 "Intel sells XScale business to Marvell for $600m".
  21. AMD Jumps Into The ARM Server Business. Forbes. Retrieved on 2013-08-02.
This article is issued from Wikipedia - version of the 11/15/2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.